اینو دیدی

مرجع دانلود فایل ,تحقیق , پروژه , پایان نامه , فایل فلش گوشی

اینو دیدی

مرجع دانلود فایل ,تحقیق , پروژه , پایان نامه , فایل فلش گوشی

تحقیق و بررسی در مورد زبان توصیف سخت افزارVHDL 22 ص

اختصاصی از اینو دیدی تحقیق و بررسی در مورد زبان توصیف سخت افزارVHDL 22 ص دانلود با لینک مستقیم و پر سرعت .

لینک دانلود و خرید پایین توضیحات

فرمت فایل word  و قابل ویرایش و پرینت

تعداد صفحات: 20

 

زبان توصیف سخت افزارVHDL

VHDL زبان توصیف سخت افزاری برای طراحی مدارات دیجیتال می باشد. و مانند سایر زبانهای برنامه نویسی دارای دستورات خاص خود می باشد.

برای یادگیری زبان VHDL دانستن جبر بول و آشنایی با مدارات منطقی ضروری است. لذا ما فرض می کنیم که دوستان با دو مقوله فوق آشنایی کافی دارند.

و اما یادگیری VHDL چه مزایایی دارد. قبل از هرچیز باید گفت که هدف از یادگیری VHDL خصوصاْ در ایران طراحی مدارات دیجیتال و پیاده سازی در FPGA می باشد هرچند مدار طراحی شده را بصورت ASIC (آی سی خاص) نیز می توان پیاده سازی نمود اما غالباْ مدارات دیجیتال با هدف پیاده سازی در FPGA طراحی می شوند.

برای یادگیری VHDL در این مقطع نیاز به نرم افزاری برای شبیه سازی مدارات طراحی شده خواهیم داشت. نرم افزارهای زیادی برای این منظور وجود دارند اما بهترین و آسانترین انها Active-HDL می باشد که نتایج شبیه سازی را بصورت شکل موجهای گرافیکی نمایش می دهد. و در عین حال کار کردی آسان دارد.

تاریخچه

نام VHDL شامل دو بخش V و HDL به معنیVHSIC : Very High Speed Integrated Circuits

HDL : Hardware Description Language

استاندارد IEEE 1076-1987

استاندارد IEEE 1076-1993

Verilog و ABEL (Advanced Boolean Equation Language )

ویژگی ها

HDLها ذاتا موازی (همروند) هستند

ترتیب دستورات مهم نیست

مبتنی بر رخداد

امکان استفاده از دستورات ترتیبی را نیز دارد

اهداف اساسی

مستند سازی : نگهداری، ارائه، تبادل، استفاده مجدد

شبیه سازی : بررسی نتایج و ارزیابی

سنتز : با هدف پیاده سازی در FPGA یا بصورت ASIC

اجرا= شبیه سازی

نیازمندی ها

حداقل نیازمندی ها برای یادگیری زبان VHDL

دانستن جبر بول و آشنایی با مدارات منطقی

داشتن ابزار CAD مناسب

توصیف رفتاری و ساختاری

سه حوزه رفتاری، ساختاری و هندسی (فیزیکی) داریم

VHDL : امکان توصیف طرح بصورت رفتاری و ساختاری

نکته: توصیف رفتاری نسبت به توصیف ساختاری از سطح انتزاع بالاتری برخوردار است

توصیف رفتاری :

رفتار سیستم چیست؟

رابطه بین سیگنال های ورودی و خروجی

توصیف رفتاری :

انتقال ثبات یا Data Flow (معمولا بر اساس جملات همروند)

الگوریتمی Algorithmic(با استفاده از دستورات ترتیبی)

مثال ساده

مدار هشدار باز بودن کمربند و درب خودرو

Warning = Ignition_on AND (Door_open OR Seatbelt_off)

Ignition_on : سویچ در جایش قرار گرفته

Door_open : باز بودن درب خودرو

Seatbelt_off : کمربند بسته نشده

Warning : خروجی هشدار به سرنشین خودرو

توصیف ساختاری :

مجموعه ای از مولفه ها (دروازه ها)

و اتصالات بین آنها

توصیف ساختاری :

مشابه شماتیک

نزدیک به تحقق فیزیکی سیستم

ساختار کلی یک فایل VHDL

یک توصیف VHDL شامل

Entity declaration

Architecture body

تعریف entity در حقیقت معرفی سیگنالهای ورودی و خروجی است

architecture رابطه بین سیگنالهای ورودی و خروجی است

(عملکرد/ساختار)

بخش Entity

NAME_OF_ENTITY : یک شناسه اختیاری

signal_names : سیگنالهای ورودی یا خروجی

mode :یکی از موارد (in،out ،buffer ، Inout )

mode

In :سیگنال ورودی

out : سیگنال خروجی (فقط توسط یک entity دیگر قابل استفاده)


دانلود با لینک مستقیم


تحقیق و بررسی در مورد زبان توصیف سخت افزارVHDL 22 ص

تحقیق درمورد زبان توصیف سخت افزارVHDL

اختصاصی از اینو دیدی تحقیق درمورد زبان توصیف سخت افزارVHDL دانلود با لینک مستقیم و پر سرعت .

دسته بندی : برق و الکترونیک ،مخابرات

فرمت فایل :  Doc ( قابلیت ویرایش و آماده چاپ ) Word


قسمتی از محتوی متن ...

 

تعداد صفحات : 22 صفحه

زبان توصیف سخت افزارVHDL VHDL زبان توصیف سخت افزاری برای طراحی مدارات دیجیتال می باشد.
و مانند سایر زبانهای برنامه نویسی دارای دستورات خاص خود می باشد.
برای یادگیری زبان VHDL دانستن جبر بول و آشنایی با مدارات منطقی ضروری است.
لذا ما فرض می کنیم که دوستان با دو مقوله فوق آشنایی کافی دارند.
و اما یادگیری VHDL چه مزایایی دارد.
قبل از هرچیز باید گفت که هدف از یادگیری VHDL خصوصاْ در ایران طراحی مدارات دیجیتال و پیاده سازی در FPGA می باشد هرچند مدار طراحی شده را بصورت ASIC (آی سی خاص) نیز می توان پیاده سازی نمود اما غالباْ مدارات دیجیتال با هدف پیاده سازی در FPGA طراحی می شوند.
برای یادگیری VHDL در این مقطع نیاز به نرم افزاری برای شبیه سازی مدارات طراحی شده خواهیم داشت.
نرم افزارهای زیادی برای این منظور وجود دارند اما بهترین و آسانترین انها Active-HDL می باشد که نتایج شبیه سازی را بصورت شکل موجهای گرافیکی نمایش می دهد.
و در عین حال کار کردی آسان دارد.
تاریخچه نام VHDL شامل دو بخش V و HDL به معنیVHSIC : Very High Speed Integrated Circuits HDL : Hardware Description Language استاندارد IEEE 1076-1987 استاندارد IEEE 1076-1993 Verilog و ABEL (Advanced Boolean Equation Language ) ویژگی ها HDLها ذاتا موازی (همروند) هستند ترتیب دستورات مهم نیست مبتنی بر رخداد امکان استفاده از دستورات ترتیبی را نیز دارد اهداف اساسی مستند سازی : نگهداری، ارائه، تبادل، استفاده مجدد شبیه سازی : بررسی نتایج و ارزیابی سنتز : با هدف پیاده سازی در FPGA یا بصورت ASIC اجرا= شبیه سازی نیازمندی ها حداقل نیازمندی ها برای یادگیری زبان VHDL دانستن جبر بول و آشنایی با مدارات منطقی داشتن ابزار CAD مناسب توصیف رفتاری و ساختاری سه حوزه رفتاری، ساختاری و هندسی (فیزیکی) داریم VHDL : امکان توصیف طرح بصورت رفتاری و ساختاری نکته: توصیف رفتاری نسبت به توصیف ساختاری از سطح انتزاع بالاتری برخوردار است توصیف رفتاری : رفتار سیستم چیست؟
رابطه بین سیگنال های ورودی و خروجی توصیف رفتاری : انتقال ثبات یا Data Flow (معمولا بر اساس جملات همروند) الگوریتمی Algorithmic(با استفاده از دستورات ترتیبی) مثال ساده مدار هشدار باز بودن کمربند و درب خودرو Warning = Ignition_on AND (Door_open OR Seatbelt_off) Ignition_on : سویچ در جایش قرار گرفته Door_open : باز بودن درب خودرو Seatbelt_off : کمربند بسته نشده Warning : خروجی هشدار به سرنشین خودرو توصیف ساختاری : مجموعه ای از مولفه ها (دروازه ها) و اتصالات بین آنها توصیف ساختاری : مشابه شماتیک نزدیک به تحقق فیزیکی سیستم ساختار کلی یک فایل VHDL یک توصیف VHDL شامل Entity declaration Architecture body تعریف entity در حقیقت معرفی سیگنالهای ورودی و خروجی است architecture رابطه بین سیگنالهای ورودی و خروجی است (عملکرد/ساختار) بخش Entity NAME_OF_ENTITY : یک شناسه اختیاری signal_names : سیگنالهای ورودی یا خروجی mode :یکی از موارد (in،out ،buffer ، Inout ) mode In :سیگنال ورودی out : سیگنال خروجی (فقط توسط یک entity دیگر قابل استفاده) buffer : خروجی که می تواند در داخل entity نیز استفاده شود Inout : سیگنا ل دوطرفه (ورودی / خروجی) Type نوع سیگنال را مشخص می کند ( استاندارد یا User Defined) Bit : می تواند مقدار صفر یا یک داشته باشد Bit_vector : برداری از مقادیر بیتی است Boolean : می تواند مقدار true یا false بگیرد.

Integer : عدد صحیح Real : عدد حقیقی Character : هرکاراکتر قابل چاپ Time : برا ی نمایش زمان بکار می رود.
مثال Entity تعریف entity برای یک نیم جمع کننده بخش Architecture توصیف سیستم بصورت رفتاری یا ساختاری است قالب کلی تعریف Architecture به شکل زیر است مدل رفتاری (Behavioral model) مستقل از ساختار داخلی ارتباط بین ورودی ها و خروجی ها بصورت عملیاتی توصیف می شود .

متن بالا فقط تکه هایی از متن به صورت نمونه در این صفحه درج شده است.شما بعد از پرداخت آنلاین فایل را فورا دانلود نمایید

بعد از پرداخت ، لینک دانلود را دریافت می کنید و ۱ لینک هم برای ایمیل شما به صورت اتوماتیک ارسال خواهد شد.


دانلود با لینک مستقیم


تحقیق درمورد زبان توصیف سخت افزارVHDL

دانلود تحقیق و مقاله درباره توصیف عکس

اختصاصی از اینو دیدی دانلود تحقیق و مقاله درباره توصیف عکس دانلود با لینک مستقیم و پر سرعت .

لینک دانلود و خرید پایین توضیحات

فرمت فایل word  و قابل ویرایش و پرینت

تعداد صفحات: 45

 

توصیف عکس

تعریف توصیف

توصیف یک عکس، یا یک نمایشگاه، یعنی توجه به هر آنچه بدان مربوط می شود و بازگو کردن آنها به دیگران، چه به صورت سخنرانی و چه در قالب نوشته. توصیف فرایند گردآوری داده ها و فهرست کردن حقایق است. توصیف یعنی پاسخگویی به پرسشهایی نظیر::«اینجا چیست؟ من چه چیزی را می بینم؟ من واقعاٌ دربارة این تصویر چه می‌دانم؟» پاسخها شناسایی بدیهیات و مبهمات است. حتی زمانی که موارد معینی به نظر منتقد بدیهی می آید، آنها را نشان می دهد، زیرا می داند آنچه برای بیننده ای بدیهی است برای دیگری ممکن است قابل رؤیت نباشد. اطلاعات توصیفی شامل نظر دربارة موضوع عکس، واسطه و فرم و به طور کلی دربارة محیط اتفاقی عکس می شود، که این یک، شامل اطلاعات دربارة عکاس، زمان عکاسی و محیط اجتماعی است که عکس از دل آن سر برآورده است. اطلاعات توصیفی حقیقی (یا دروغین)، دقیق(یا غیر دقیق)، واقعی (یا غیر واقعی) است: ریچارد آودون یا از دوربین دیردورف 10*8 اینچ استفاده کرده یا نکرده است" یا بیش از 17000 قطعه فیلم مصرف کرده یا نکرده است. اظهارات توصیفی با مشاهده قابل بررسی است. اگرچه اصولاٌ می شود نشان داد که ادعاهای توصیفی حقیقی است یا کاذب، در عمل منتقد گاهی انجام آن را مشکل می یابد.

منتقدان اطلاعات توصیفی را از دو مأخذ به دست می آورند- درونی و بیرونی. آنها بیشتر اطلاعات توصیفی را با دقت از درون عکس کسب می کنند. همچنین اطلاعات توصیفی را از منابع بیرونی مانند کتابخانه، خود عکاس، نشریات،و... به دست می آورند.

هنگام تماشای نمایشگاه یا عکس خاص، توصیف نقطة منطقی برای شروع است زیرا وسیلة گردآوری آن دسته از اطلاعات اساسی است که به درک عکس کمک می کنند. البته از نظر روانشناسی ما اغلب در ابتدا می خواهیم قضاوت کنیم و نخستین اظهار نظرها حاکی از تأیید یا عدم تأیید است. ذاتاٌ قضاوت کردن در ابتدای امر خطا نیست چرا که قضاوت نیاز به آگاهی دارد و اطلاعات مناسب نیازمند توصیف دقیق است. خواه ما در ابتدا قصاوت کنیم و سپس بر اساس توصیف، در صورت لزوم، در قضاوت خود تجدید نظر کنیم، و خواه در ابتدا توصیف و تفسیر کنیم و سپس قضاوت کنیم، این امر به انتخاب ما بستگی دارد. نقطة آغازین قطعیت ندارد، ولی توصیف دقیق از ضروریات نقد قابل دفاع است. تفسیر و داوری که به واقعیت بی توجه باشد یا مخالف واقعیت باشد جداً ایراد دارد.

منتقدان مسلماً و غالباٌ دست به توصیف می زنند، اما در متنی که چاپ می شود، الزاماً در آغاز، توصیف و بعد تفسیر و سپس داوری نمی کنند. ممکن است در ابتدا پیش خود و قبل از نوشتن توصیف کنند اما در نوشته، به منظور جلب توجه خواننده، ابتدا با قضاوت آغاز می کنند یا دست به تفسیر می زنند و سؤالاتی مطرح می کنند یا نقل قول می کنند یا از نظر ادبی ابزارهایی بر می گزینند. زیرا اگر ابتدا توصیف کنند و سپس تفسیر و بعد قضاوت کنند احتمالاٌ موجب کسالت


دانلود با لینک مستقیم


دانلود تحقیق و مقاله درباره توصیف عکس

دانلود تحقیق توصیف آشکار سازهای نیمه هادی سه بعدی نوترونهای حرارتی

اختصاصی از اینو دیدی دانلود تحقیق توصیف آشکار سازهای نیمه هادی سه بعدی نوترونهای حرارتی دانلود با لینک مستقیم و پر سرعت .

دانلود تحقیق توصیف آشکار سازهای نیمه هادی سه بعدی نوترونهای حرارتی


دانلود تحقیق توصیف آشکار سازهای نیمه هادی سه بعدی نوترونهای حرارتی

دسته بندی : علوم پایه _ شیمی

فرمت فایل:  Image result for word ( قابلیت ویرایش و آماده چاپ

حجم فایل:  (در قسمت پایین صفحه درج شده )

فروشگاه کتاب : مرجع فایل 

 


 قسمتی از محتوای متن ...

«توصیف آشکار سازهای نیمه هادی سه بعدی نوترونهای حرارتی» آشکار سازی های نیمه هادی نوترون برای رادیوبیولوژی نوترون و شمارش آن دارای اهمیت بسیار زیادی هستند. آشکار سازی های ساده سیلیکونی نوترون ترکیبی از یک دیود صفحه ای با لایه ای از یک مبدل مناسب نوترون مثل 6LiFمی باشند. چنین وسایلی دارای بهره آشکار سازی محدودی می باشندکه معمولاً بیشتر از 5% نیست. بهره آشکار سازی را می توان با ساخت یک ساختار میکرونی3D به صورت فرو رفتگی، حفره یا سوراخ و پر کردن آن با ماده مبدل نوترون افزایش داد. اولین نتایج ساخت چنین وسیله ای در این مقاله ارائه شده است. آشکار سازهای سیلیکونیN با حفره های هرمی شکل در سطح پوشیده شده با 6LiF ساخته شده و سپس تحت تابش نوترونهای حرارتی قرار گرفتند. طیف ارتفاع پالس انرژی تابش شده به حجم حساس با شبیه سازی مورد مقایسه قرار گرفت. بهره آشکار سازی این وسیله در حدود 6.3% بود. نمونه هایی با سایز ستونهای مختلف ساخته شد تا خواص الکتریکی ساختارهای سه بعدی مورد مطالعه قرار گیرد.ضرایب جمع آوری بار در ستونهای سیلیکون از 10تا800 nm عرض و 80تا nm 200ارتفاع با ذرات آلفا اندازه گیری شد. بهره آشکار سازی یک ساختار 3D کامل نیز شبیه سازی شد. نتایج نشان از تقویت بهره آشکار سازی با فاکتور 6در مقایسه با آشکار سازهای صفحه ای استاندارد نوترون دارد. 1. مقدمه و اهداف: آشکار سازهای نوترونی نمی توانند مستقیماً برای آشکار سازی نوترونهای حرارتی به کار روند و باید از ماده ای استفاده کرد که نوترونها را به صورت تشعشع قابل آشکار سازی در آورد. مواد مختلفی برای این منظور وجود دارند که در بین آنها6Li از همه مناسب تر به نظر می رسد. واکنش گیر افتادن نوترون در6Li دارای سطح مقطع942 b در انرژی نوترونی0.0253eV است. 6Li+n→∝(2.05MeV) +3H(2.73MeV مواد مبدل با پایه6Li دارای سطح مقطع گیر انداختن نورونهای بالایی بوده و انرژی محصولات تولید شده آن نیز برای آشکار شدن به قدر کافی بالا می باشد. هدف نهایی آشکار سازR&D که در اینجا شرح داده می شوند ایجاد یک سنسور تصویر برداری نوترون با حساسیت بالا و قدرت تفکیک فضایی مناسب است. ما قبلاً با موفقیت چیپMedipix-2 با چیپ سنسور صفحه ای پوشیده با مبدل نوترون6Li را آزمایش کرده ایم. قدرت تفکیک فضایی چنین وسیله ای در حدود 65nm(نشانه ای از FWHMتابع پخش خطی) به خوبی با ابزارهای تصویر برداری نوترون قابل رقابت است. نسبت سیگنال به نویز(SNR) آشکارسازی سیلیکون نیز بالاتر از آشکار سازهای نوترونی فعلی است. با این وجود بهره آشکار سازی چنین آشکارسازهای نیمه هادی صفحه ای(نسبت تعداد آشکار شده به تعداد نوترون برخوردی) در حدود5% محدود می باشد. بهره آشکارسازی را می توان با ایجاد حفره یا سوراخ هایی (ساختار 3D ) در بدنه آشکار ساز سیلیکون افزایش داد. 2. آشکار سازی آشکارسازهای نوترونی صفحه ای: برای پیش بینی بهره آشکارسازی ساختار صفحه ای از یک بسته نرم افزار شب

تعداد صفحات : 9 صفحه

  متن کامل را می توانید بعد از پرداخت آنلاین ، آنی دانلود نمائید، چون فقط تکه هایی از متن به صورت نمونه در این صفحه درج شده است.

پس از پرداخت، لینک دانلود را دریافت می کنید و ۱ لینک هم برای ایمیل شما به صورت اتوماتیک ارسال خواهد شد.

 
« پشتیبانی فروشگاه مرجع فایل این امکان را برای شما فراهم میکند تا فایل خود را با خیال راحت و آسوده دانلود نمایید »
 
 

دانلود با لینک مستقیم


دانلود تحقیق توصیف آشکار سازهای نیمه هادی سه بعدی نوترونهای حرارتی

پاورپونت در مورد تبدیل توصیف UML معماری نرم‌افزار مدل کارایی شبکه‌های صف (QN) و تولید بازخورد از نتایج ارزیابی کارایی

اختصاصی از اینو دیدی پاورپونت در مورد تبدیل توصیف UML معماری نرم‌افزار مدل کارایی شبکه‌های صف (QN) و تولید بازخورد از نتایج ارزیابی کارایی دانلود با لینک مستقیم و پر سرعت .

پاورپونت در مورد تبدیل توصیف UML معماری نرم‌افزار مدل کارایی شبکه‌های صف (QN) و تولید بازخورد از نتایج ارزیابی کارایی


پاورپونت در مورد تبدیل توصیف UML معماری نرم‌افزار  مدل کارایی شبکه‌های صف (QN) و  تولید بازخورد از نتایج ارزیابی کارایی

لینک پرداخت و دانلود *پایین مطلب*

فرمت فایل: PowerPoint (قابل ویرایش و آماده پرینت)

تعداد  اسلاید58

 

 

انگیزه‌ها و اصول عمومی

 

پیش زمینه

 

ضرورت و اهداف

 

تشریح متدولوژی ارزیابی کارایی

 

مثال کاربردی: سیستم خود پرداز بانکی(ATM)

 

جمع بندی و نتیجه گیری

 

 

لینک دانلود  کمی پایینتر میباشد


دانلود با لینک مستقیم


پاورپونت در مورد تبدیل توصیف UML معماری نرم‌افزار مدل کارایی شبکه‌های صف (QN) و تولید بازخورد از نتایج ارزیابی کارایی